Exploring Hybrid SPM-cache Architectures to Improve Performance and Energy Efficiency for Real-time Computing

Exploring Hybrid SPM-cache Architectures to Improve Performance and Energy Efficiency for Real-time Computing
Author: Lan Wu
Publisher:
Total Pages: 338
Release: 2013
Genre: Cache memory
ISBN:


Download Exploring Hybrid SPM-cache Architectures to Improve Performance and Energy Efficiency for Real-time Computing Book in PDF, Epub and Kindle

Real-time computing is not just fast computing but time-predictable computing. Many tasks in safety-critical embedded real-time systems have hard real-time characteristics. Failure to meet deadlines may result in the loss of life or in large damages. Known of Worst Case Execution Time (WCET) is important for reliability or correct functional behavior of the system. As multi-core processors are increasingly adopted in industry, it has become a great challenge to accurately bound the worst-case execution time (WCET) for real-time systems running on multi-core chips. This is particularly true because of the inter-thread interferences in accessing shared resources on multi-cores, such as shared L2 caches, which can significantly affect the performance but are very difficult to be estimate statically. We propose an approach to analyzing Worst Case Execution Time (WCET) for multi-core processors with shared L2 instruction caches by using a model checking based method. Our experiments indicate that compared to the static analysis technique based on extended ILP (Integer Linear Programming), our approach improves the tightness of WCET estimation more than 31.1% for the benchmarks we studied. However, due to the inherent complexity of multi-core timing analysis and the state explosion problem, the model checking based approach currently can only work with small real-time kernels for dual-core processors. At the same time, improving the average-case performance and energy efficiency has also been important for real-time systems. Recently, Hybrid SPM-Cache (HSC) architectures by combining caches and Scratch-Pad Memories (SPMs) have been increasingly used in commercial processors and research prototypes. Our research explores HSC architectures for real-time systems to reconcile time predictability, performance, and energy consumption. We study the energy dissipation of a number of hybrid on-chip memory architectures by combining both caches and Scratch-Pad Memories (SPM) without increasing the total on-chip memory size. Our experimental results indicate that with the equivalent total on-chip memory size, several hybrid SPM-Cache architectures are more energy-efficient than either pure software controlled SPMs or pure hardware-controlled caches. In particular, using the hybrid SPM-cache to store both instructions and data can achieve the best energy efficiency. However, the SPM allocation for the HSC architecture must be aware of the cache to harness the full potential of the HSC architecture. First, we propose and evaluate four SPM allocation strategies to reduce WCET for hybrid SPM-Caches with different complexities. These algorithms differ by whether or not they can cooperate with the cache or be aware of the WCET. Our evaluation shows that the cache aware and WCET-oriented SPM allocation can maximally reduce the WCET with minimum or even positive impact on the average-case execution time (ACET). Moreover, we explore four SPM allocation algorithms to maximize performance on the HSC architecture, including three heuristic-based algorithms, and an optimal algorithm based on model checking. Our experiments indicate that the Greedy Stack Distance based Allocation (GSDA) can run efficiently while achieving performance either the same as or close to the optimal results got by the Optimal Stack Distance based Allocation (OSDA). Last but not the least, we extend the two stack distance based allocation algorithms to GSDA-E and OSDA-E to minimize the energy consumption of the HSC architecture. Our experimental results show that the GSDA-E can also reduce the energy either the same as or close to the optimal results attained by the OSDA-E, while achieving performance close to the OSDA and GSDA.


Exploring Hybrid SPM-cache Architectures to Improve Performance and Energy Efficiency for Real-time Computing
Language: en
Pages: 338
Authors: Lan Wu
Categories: Cache memory
Type: BOOK - Published: 2013 - Publisher:

GET EBOOK

Real-time computing is not just fast computing but time-predictable computing. Many tasks in safety-critical embedded real-time systems have hard real-time char
Cache Designs for Reliable Hybrid High and Ultra-low Voltage Operation
Language: en
Pages: 153
Authors: Bojan Maric
Categories:
Type: BOOK - Published: 2014 - Publisher:

GET EBOOK

Increasing demand for implementing highly-miniaturized battery-powered ultra-low-cost systems (e.g., below 1 USD) in emerging applications such as body, urban l
Time-predictable Fast Memories
Language: en
Pages: 214
Authors: Yu Liu
Categories:
Type: BOOK - Published: 2011 - Publisher:

GET EBOOK

In modern processor architectures, caches are widely used to shorten the gap between the processor speed and memory access time. However, caches are time unpred
Advanced Memory Optimization Techniques for Low-Power Embedded Processors
Language: en
Pages: 192
Authors: Manish Verma
Categories: Technology & Engineering
Type: BOOK - Published: 2007-06-20 - Publisher: Springer Science & Business Media

GET EBOOK

This book proposes novel memory hierarchies and software optimization techniques for the optimal utilization of memory hierarchies. It presents a wide range of
Computers as Components
Language: en
Pages: 533
Authors: Marilyn Wolf
Categories: Computers
Type: BOOK - Published: 2008-07-08 - Publisher: Morgan Kaufmann

GET EBOOK

Computers as Components, Second Edition, updates the first book to bring essential knowledge on embedded systems technology and techniques under a single cover.