Architecting and Building High-Speed SoCs

Architecting and Building High-Speed SoCs
Author: Mounir Maaref
Publisher: Packt Publishing Ltd
Total Pages: 426
Release: 2022-12-09
Genre: Computers
ISBN: 1801819858


Download Architecting and Building High-Speed SoCs Book in PDF, Epub and Kindle

Design a high-speed SoC while gaining a holistic view of the FPGA design flow and overcoming its challenges. Purchase of the print or kindle book includes a free eBook in the PDF format. Key FeaturesUse development tools to implement and verify an SoC, including ARM CPUs and the FPGA logicOvercome the challenge of time to market by using FPGA SoCs and avoid the prohibitive ASIC NRE costUnderstand the integration of custom logic accelerators and the SoC software and build themBook Description Modern and complex SoCs can adapt to many demanding system requirements by combining the processing power of ARM processors and the feature-rich Xilinx FPGAs. You'll need to understand many protocols, use a variety of internal and external interfaces, pinpoint the bottlenecks, and define the architecture of an SoC in an FPGA to produce a superior solution in a timely and cost-efficient manner. This book adopts a practical approach to helping you master both the hardware and software design flows, understand key interconnects and interfaces, analyze the system performance and enhance it using the acceleration techniques, and finally build an RTOS-based software application for an advanced SoC design. You'll start with an introduction to the FPGA SoCs technology fundamentals and their associated development design tools. Gradually, the book will guide you through building the SoC hardware and software, starting from the architecture definition to testing on a demo board or a virtual platform. The level of complexity evolves as the book progresses and covers advanced applications such as communications, security, and coherent hardware acceleration. By the end of this book, you'll have learned the concepts underlying FPGA SoCs' advanced features and you'll have constructed a high-speed SoC targeting a high-end FPGA from the ground up. What you will learnUnderstand SoC FPGAs' main features, advanced buses and interface protocolsDevelop and verify an SoC hardware platform targeting an FPGA-based SoCExplore and use the main tools for building the SoC hardware and softwareBuild advanced SoCs using hardware acceleration with custom IPsImplement an OS-based software application targeting an FPGA-based SoCUnderstand the hardware and software integration techniques for SoC FPGAsUse tools to co-debug the SoC software and hardwareGain insights into communication and DSP principles in FPGA-based SoCsWho this book is for This book is for FPGA and ASIC hardware and firmware developers, IoT engineers, SoC architects, and anyone interested in understanding the process of developing a complex SoC, including all aspects of the hardware design and the associated firmware design. Prior knowledge of digital electronics, and some experience of coding in VHDL or Verilog and C or a similar language suitable for embedded systems will be required for using this book. A general understanding of FPGA and CPU architecture will also be helpful but not mandatory.


Architecting and Building High-Speed SoCs
Language: en
Pages: 426
Authors: Mounir Maaref
Categories: Computers
Type: BOOK - Published: 2022-12-09 - Publisher: Packt Publishing Ltd

GET EBOOK

Design a high-speed SoC while gaining a holistic view of the FPGA design flow and overcoming its challenges. Purchase of the print or kindle book includes a fre
Modern System-on-Chip Design on Arm
Language: en
Pages: 608
Authors: David Greaves
Categories:
Type: BOOK - Published: 2021-08 - Publisher: Arm Education Media

GET EBOOK

SoC design has seen significant advances in the decade and Arm-based silicon has often been at the heart of this revolution. Today, entire systems including pro
Architecting High-Performance Embedded Systems
Language: en
Pages: 376
Authors: Jim Ledin
Categories: Computers
Type: BOOK - Published: 2021-02-05 - Publisher: Packt Publishing Ltd

GET EBOOK

Explore the complete process of developing systems based on field-programmable gate arrays (FPGAs), including the design of electronic circuits and the construc
Building Smart Home Automation Solutions with Home Assistant
Language: en
Pages: 356
Authors: Marco Carvalho
Categories: Computers
Type: BOOK - Published: 2023-09-15 - Publisher: Packt Publishing Ltd

GET EBOOK

A step-by-step guide to building cost-effective and complete home automation DIY projects using tools such as Home Assistant, Raspberry Pi, IoT devices, the Tas
A Practical Approach to VLSI System on Chip (SoC) Design
Language: en
Pages: 355
Authors: Veena S. Chakravarthi
Categories: Technology & Engineering
Type: BOOK - Published: 2022-12-13 - Publisher: Springer Nature

GET EBOOK

Now in a thoroughly revised second edition, this practical practitioner guide provides a comprehensive overview of the SoC design process. It explains end-to-en